Kapitel 2: Synthese und Logikoptimierung

Register-Transfer-Synthese

Die Synthese erfolgt mit dem Entwurfssystem ISE in folgenden Schritten:

  • Neues Projekt anlegen
  • VHDL-Datei mit "Add Source" einbinden
  • Wenn verwendet, die Bibliothek Tuc anlegen und mit "Add Source" die genutzten Packages einbinden
  • Unter "Processes" "Synthesize - XST" und Entwurfsziel "View RTL Schematic" auswählen und Synthese starten

Um nicht für jedes Beispiel, ein neues Projekt anlegen und die Tuc-Bibliothek neu erzeugen zu müssen, genügt es, die VHDL-Datei im Projekt auszutauschen und die Synthese neu zu starten. Eine detailliertere Anleitung enthält die Praktikumsanleitung des ersten [VHDL-Praktikums].

Schaltungsvereinfachung auf Basis der Schaltalgebra

KV-Diagramm
7-Segment-Decoder (Abb. 2.44)
Automatenentwurf mit KV-Diagrammen
Zusammenfassung und Übungsaufgaben
Aufgabe 2.10 (7-Segment-Decoder)
Aufgabe 2.11 (Automatenentwurf)
Aufgabe 2.12 (Quine-McCluskey)

Addierer

Ripple-Addierer
Iterative Beschreibung eines Ripple-Addierers (Abb. 2.81)
Serieller Addierer
Serieller Addierer
Hierarchischer Addierer
Test des hierarchischen Addierers in Abb. 2.87

Weitere Rechenwerke

Dividierer
Dialogtest für der Divisionsalgorithmus

Dialogtest für den Zeitablauf der seriellen Division

Zusammenfassung und Übungsaufgaben
Aufgabe 2.20 und 2.22 (Multiplizierer für vorzeichenbehaftete Zahlen)