-- G. Kemnitz: Technische Informatik -- Band 2: Entwurf digitaler Schaltungen -- Abschnitt 2.6.9 Zusammenfassung und Uebungsaufgaben -- -- Aufgabe 2.20 -- Volladdierer mit einem negativ bewerteten Eingang -------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity VA1 is port(a, b, nc: in std_logic; ns, co: out std_logic); end entity; architecture Verh of VA1 is begin ns <= a xor b xor nc; co <= (a and b) or (a and not nc) or (b and not nc); end architecture;