-- G. Kemnitz: Technische Informatik -- Band 2: Entwurf digitaler Schaltungen -- Abschnitt 2.6.9 Zusammenfassung und Uebungsaufgaben -- -- Aufgabe 2.20 -- Halbaddierer mit zwei negativ bewerteten Eingängen -------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity HA2 is port(na, nb: in std_logic; s, nco: out std_logic); end entity; architecture Verh of HA2 is begin s <= na xor nb; nco<= na or nb; end architecture;