-- G. Kemnitz: Technische Informatik -- Band 2: Entwurf digitaler Schaltungen -- Abschnitt 2.6.9 Zusammenfassung und Uebungsaufgaben -- -- Aufgabe 2.20 -- Halbaddierer mit null negativ bewerteten Eingängen -------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity HA0 is port(a, b: in std_logic; s, co: out std_logic); end entity; architecture Verh of HA0 is begin s <= a xor b; co<= a and b; end architecture;