VHDL-Web-Projekte zum Lehrbuch: Technische Informatik 2: Entwurf digitaler Schaltungen.

(G. Kemnitz)

Das Buch enthält in der Regel nur die wichtigen Code-Ausschnitte der Beispielbeschreibungen. Auf dieser Web-Seite stehen die zugehörigen kompletten Programmdateien und Testrahmen, getestet mit dem Simulator ghdl. Den Simulator gibt es sowohl für Linux als auf für Windows hier frei im Web. Er ist auch auf den Rechnern im Übungsraum installiert, die während der betreuten Laborzeiten genutzt werden. Für die graphische Signaldarstellung ist zusätzlich GTKWave zu installieren. Die Beschreibungen zu den Web-Projekten stehen im Script und Hinweise zur Übersetzung und Ausführung sind in der jeweiligen Hilfe-Datei zum Projekt zu finden.

Zum Experimentieren mit den im Buch beschrieben VHDL-Entwürfen ist das nachfolgende zip-Archiv auf den Rechner herunterzuladen und mit Verzeichnissen zu entpacken. Es enthält alle im Buch beschriebenen Dateien und zusätzlich für jeden Abschnitt einen Hilfetext mit den Befehlen zur Durchführung der Simulation und zur Visualisierung der Ergebnisse. Die gleichfalls enthaltenen Sav-Dateien enthalten die Visualisierungseinstellungen für GTKWAVE (angezeigte Signale, angezeigtes Zeitfenster etc.). Die Bibliothek Tuc ist bereits übersetzt im Archiv enthalten, so dass der Abschnitt zur Bibliothek übersprungen werden kann. Es sei empfohlen, für jedes Beispiel die Beschreibung im Buch zu lesen, die vorbereiteten Simulationen auszuprobieren und danach mit den Beispielen zu experimentieren (Veränderung und Erweiterung der Testeingaben, Änderung der beschrieben Funktionen und Strukturen etc.). Viel Spass beim Experimentieren!
 

Zip-Archiv mit allen Dateien: [zip_EVHDL.zip]

Zu den einzelnen Kapiteln: