-- G. Kemnitz: Technische Informatik -- Band 2: Entwurf digitaler Schaltungen -- Abschnitt 2.6.9 Zusammenfassung und Uebungsaufgaben -- -- Aufgabe 2.20 -- Volladdierer mit null negativ bewerteten Eingängen -------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity VA0 is port(a, b, c: in std_logic; s, co: out std_logic); end entity; architecture Verh of VA0 is begin s <= a xor b xor c; co <= (a and b) or (a and c) or (b and c); end architecture;