-- G. Kemnitz: Technische Informatik -- Band 2: Entwurf digitaler Schaltungen -- Abschnitt 2.6.9 Zusammenfassung und Uebungsaufgaben -- -- Aufgabe 2.20 -- Halbaddierer mit einem negativ bewerteten Eingang -------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity HA1 is port(a, nb: in std_logic; s, nco: out std_logic); end entity; architecture Verh of HA1 is begin s <= a xor nb; nco<= (not a) and nb; end architecture;