-------------------------------------------------------------------------------- -- Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. -------------------------------------------------------------------------------- -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version : 11.2 -- \ \ Application : xaw2vhdl -- / / Filename : clk2x_dcm.vhd -- /___/ /\ Timestamp : 11/26/2009 15:32:05 -- \ \ / \ -- \___\/\___\ -- --Command: xaw2vhdl-intstyle /home/el-ws09-02/Desktop/iseproject/clk2x_dcm.xaw -st clk2x_dcm.vhd --Design Name: clk2x_dcm --Device: xc3s1000-4ft256 -- -- Module clk2x_dcm -- Generated by Xilinx Architecture Wizard -- Written for synthesis tool: XST library ieee; use ieee.std_logic_1164.ALL; use ieee.numeric_std.ALL; --1 use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; --2 library UNISIM; use UNISIM.Vcomponents.ALL; entity clk2x_dcm is port ( --1 Clk : in std_logic := '1'; --2 CLKIN_IN : in std_logic; RST_IN : in std_logic; CLKDV_OUT : out std_logic; CLKIN_IBUFG_OUT : out std_logic; CLK0_OUT : out std_logic; CLK2X_OUT : out std_logic; LOCKED_OUT : out std_logic); end clk2x_dcm; architecture BEHAVIORAL of clk2x_dcm is signal CLKDV_BUF : std_logic; signal CLKFB_IN : std_logic; signal CLKIN_IBUFG : std_logic; signal CLK0_BUF : std_logic; signal CLK2X_BUF : std_logic; signal GND_BIT : std_logic; --1 signal CLK25 :std_logic :='1'; --2 begin GND_BIT <= '0'; CLKIN_IBUFG_OUT <= CLKIN_IBUFG; CLK2X_OUT <= CLKFB_IN; CLKDV_BUFG_INST : BUFG port map (I=>CLKDV_BUF, O=>CLKDV_OUT); CLKIN_IBUFG_INST : IBUFG port map (I=>CLKIN_IN, O=>CLKIN_IBUFG); CLK0_BUFG_INST : BUFG port map (I=>CLK0_BUF, O=>CLK0_OUT); CLK2X_BUFG_INST : BUFG port map (I=>CLK2X_BUF, O=>CLKFB_IN); DCM_INST : DCM generic map( CLK_FEEDBACK => "2X", CLKDV_DIVIDE => 8.0, --2.0 CLKFX_DIVIDE => 1, --1 CLKFX_MULTIPLY => 4, --4; #20 CLKIN_DIVIDE_BY_2 => False, --False CLKIN_PERIOD => 20.000, --20.000; (20ns = 50MHz) CLKOUT_PHASE_SHIFT => "NONE", DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", DFS_FREQUENCY_MODE => "LOW", DLL_FREQUENCY_MODE => "LOW", DUTY_CYCLE_CORRECTION => TRUE, FACTORY_JF => x"8080", PHASE_SHIFT => 0, STARTUP_WAIT => FALSE) port map (CLKFB=>CLKFB_IN, CLKIN=>CLKIN_IBUFG, DSSEN=>GND_BIT, PSCLK=>GND_BIT, PSEN=>GND_BIT, PSINCDEC=>GND_BIT, RST=>RST_IN, CLKDV=>CLKDV_BUF, CLKFX=>open, CLKFX180=>open, CLK0=>CLK0_BUF, CLK2X=>CLK2X_BUF, CLK2X180=>open, CLK90=>open, CLK180=>open, CLK270=>open, LOCKED=>LOCKED_OUT, PSDONE=>open, STATUS=>open); end BEHAVIORAL;