-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used -- -- solely for design, simulation, implementation and creation of -- -- design files limited to Xilinx devices or technologies. Use -- -- with non-Xilinx devices or technologies is expressly prohibited -- -- and immediately terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" -- -- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR -- -- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION -- -- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION -- -- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS -- -- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, -- -- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE -- -- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY -- -- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- -- FOR A PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support -- -- appliances, devices, or systems. Use in such applications are -- -- expressly prohibited. -- -- -- -- (c) Copyright 1995-2009 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -- You must compile the wrapper file cam_bram.vhd when simulating -- the core, cam_bram. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off Library XilinxCoreLib; -- synthesis translate_on ENTITY cam_bram IS port ( clka: IN std_logic; dina: IN std_logic_VECTOR(15 downto 0); addra: IN std_logic_VECTOR(8 downto 0); wea: IN std_logic_VECTOR(0 downto 0); clkb: IN std_logic; addrb: IN std_logic_VECTOR(9 downto 0); doutb: OUT std_logic_VECTOR(7 downto 0)); END cam_bram; ARCHITECTURE cam_bram_a OF cam_bram IS -- synthesis translate_off component wrapped_cam_bram port ( clka: IN std_logic; dina: IN std_logic_VECTOR(15 downto 0); addra: IN std_logic_VECTOR(8 downto 0); wea: IN std_logic_VECTOR(0 downto 0); clkb: IN std_logic; addrb: IN std_logic_VECTOR(9 downto 0); doutb: OUT std_logic_VECTOR(7 downto 0)); end component; -- Configuration specification for all : wrapped_cam_bram use entity XilinxCoreLib.blk_mem_gen_v2_8(behavioral) generic map( c_has_regceb => 0, c_has_regcea => 0, c_mem_type => 1, c_prim_type => 1, c_sinita_val => "0", c_read_width_b => 8, c_family => "spartan3", c_read_width_a => 16, c_disable_warn_bhv_coll => 0, c_write_mode_b => "READ_FIRST", c_init_file_name => "no_coe_file_loaded", c_write_mode_a => "READ_FIRST", c_mux_pipeline_stages => 0, c_has_mem_output_regs_b => 0, c_load_init_file => 0, c_xdevicefamily => "spartan3", c_has_mem_output_regs_a => 0, c_write_depth_b => 640, c_write_depth_a => 320, c_has_ssrb => 0, c_has_mux_output_regs_b => 1, c_has_ssra => 0, c_has_mux_output_regs_a => 0, c_addra_width => 9, c_addrb_width => 10, c_default_data => "0", c_use_ecc => 0, c_algorithm => 1, c_disable_warn_bhv_range => 0, c_write_width_b => 8, c_write_width_a => 16, c_read_depth_b => 640, c_read_depth_a => 320, c_byte_size => 9, c_sim_collision_check => "ALL", c_use_ramb16bwer_rst_bhv => 0, c_common_clk => 0, c_wea_width => 1, c_has_enb => 0, c_web_width => 1, c_has_ena => 0, c_sinitb_val => "0", c_use_byte_web => 0, c_use_byte_wea => 0, c_use_default_data => 1); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_cam_bram port map ( clka => clka, dina => dina, addra => addra, wea => wea, clkb => clkb, addrb => addrb, doutb => doutb); -- synthesis translate_on END cam_bram_a;