----------------------------------------------- -- VHDL-Beschreibung für ein NAND-Gatter -- G. Kemnitz, 05.11.2004 ----------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity my_nand2 is Port ( i0 : in std_logic; i1 : in std_logic; o : out std_logic); end my_nand2; architecture Behavioral of my_nand2 is begin o<=i0 nand i1; end Behavioral;